EEPW首页 | 器件索引 | 厂商列表 | IC替换 | 微缩略语 | 电路图查询
器件查询:
400万器件资料库等您来搜!
   首页 > STMICROELECTRONICS > ST22L128

ST22L128

器件名称: ST22L128
功能描述: Smartcard 32-Bit RISC MCU with 32, 64, 96, 128 Kbytes EEPROM, Javacard HW Execution & Cryptographic Library
文件大小: 86.58KB    共7页
生产厂商: STMICROELECTRONICS
下  载:    在线浏览   点击下载
简  介:ST22L032, ST22L064 ST22L096, ST22L128 Smartcard 32-Bit RISC MCU with 32, 64, 96, 128 Kbytes EEPROM, Javacard HW Execution & Cryptographic Library DATA BRIEF PRODUCT FEATURES I I I I I ADVANCED MEMORY PROTECTION – – – Memory Protection Unit for application firewalling and peripheral access control Domain switching securely controlled by protected Context Stack Native/Java, Code/Data memory attributes with 128-byte granularity Java stack with both 16 and 32-bit accesses User and Supervisor mode stacks Security Context Stack 32-BIT RISC CPU WITH 24-BIT LINEAR MEMORY ADDRESSING 246 KBYTES USER ROM 8 KBYTES USER RAM 32 (L032), 64 (L064), 96 (L096) or 128 (L128) KBYTES USER EEPROM I 32-BIT RISC CPU I I I I I FOUR WORKING STACKS – – – DUAL INSTRUCTION SET, JAVACARD AND NATIVE 4-STAGE PIPELINE 16 GENERAL PURPOSE 32-BIT REGISTERS, AND SPECIAL REGISTERS 4 MASKABLE INTERRUPT LEVELS SUPERVISOR AND USER MODES CPU SECURITY INSTRUCTIONS – – Dedicated instructions for DES and Triple DES implementation Dedicated instructions (Multiply and Accumulate) for efficient implementation of modular arithmetic and elliptic curves based cryptosystems CRC instruction (ISO 3309 16-bit Checksum) Figure 1. Delivery Form 4 4 SECURITY I 4 Micromodule 4 Wafer – I I I I RANDOM NUMBER GENERATOR EEPROM FLASH PROGRAMMING MODE CLOCK AND POWER MANAGEMENT VOLTAGE AND CLOCK FREQUENCY SENSORS September 2004 For further information contact your local ST sales office. 1/7 7 ST22L032, L064, L096, L128……
相关电子器件
器件名 功能描述 生产厂商
ST22L128 Smartcard 32-Bit RISC MCU with 32, 64, 96, 128 Kbytes EEPROM, Javacard HW Execution & Cryptographic Library STMICROELECTRONICS
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
Copyright ©2002 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
京ICP备12027778号-2